پنل کاربری



عضویت در سایت رمزتان را فراموش کردید؟

مقاله زبان توصیف سخت افزار WHDL

تحقیق در مورد زبان توصیف سخت افزار WHDL VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد. برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی

دسته بندی: پروژه ، مقاله و تحقیق دانشگاهی » فنی و مهندسی

تعداد مشاهده: 1302 مشاهده

حجم فایل:126 کیلوبایت

فرمت فایل دانلودی:.zip

فرمت فایل اصلی: word

تعداد صفحات: 22

  خرید فایل  قیمت: 2,000 تومان
پس از پرداخت، لینک دانلود فایل برای شما نشان داده می شود.
2 0 گزارش
  • موضوع : مقاله زبان توصیف سخت افزار WHDL

    توضیح : این فایل به صورت ورد و آماده چاپ می باشد
    زبان توصيف سخت افزارVHDL
    VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.
    برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.
    و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.
    برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد.
    تاريخچه
    نام VHDL شامل دو بخش V و HDL  به معنیVHSIC : Very High Speed Integrated Circuits 
    HDL : Hardware Description Language
    استاندارد IEEE 1076-1987
    استاندارد IEEE 1076-1993
    Verilog  و ABEL  (Advanced Boolean Equation Language )
    ویژگی ها
    HDLها ذاتا موازي (همروند) هستند
        ترتيب دستورات مهم نيست
        مبتني بر رخداد
        امكان استفاده از دستورات ترتيبي را نيز دارد
    اهداف اساسی
        مستند سازي : نگهداری، ارائه، تبادل، استفاده مجدد
        شبيه سازي : بررسی نتایج و ارزیابی
        سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC
    اجرا= شبیه سازی
    نیازمندی ها
    حداقل نیازمندی ها برای یادگیری زبان VHDL
        دانستن جبر بول و آشنایی با مدارات منطقی
        داشتن ابزار CAD مناسب
    توصیف رفتاری و ساختاری
    سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم
    VHDL  : امکان توصیف طرح بصورت رفتاری و ساختاری
    نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است
     توصیف رفتاری :
        رفتار سیستم چیست؟
        رابطه بین سیگنال های ورودی و خروجی
    توصیف رفتاری :
        انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند)
        الگوریتمی  Algorithmic(با استفاده از دستورات ترتیبی)
    مثال ساده
    مدار هشدار باز بودن کمربند و درب خودرو
     Warning = Ignition_on AND (Door_open OR Seatbelt_off)
    Ignition_on : سویچ در جایش قرار گرفته
    Door_open : باز بودن درب خودرو
    Seatbelt_off : کمربند بسته نشده
    Warning : خروجی هشدار به سرنشین خودرو
    توصیف ساختاری :
        مجموعه ای از مولفه ها (دروازه ها)
         و اتصالات بین آنها
    توصیف ساختاری :
        مشابه شماتیک
        نزدیک به تحقق فیزیکی سیستم
    ساختار كلي يك فايل VHDL
    يك توصيف VHDL شامل 
         Entity declaration
         Architecture body
    تعريف entity  در حقیقت معرفی سیگنالهای ورودی و خروجی است
    architecture  رابطه بین سیگنالهای ورودی و خروجی است
         (عملكرد/ساختار)
    بخش  Entity
    NAME_OF_ENTITY : يك شناسه اختياري
    signal_names : سيگنالهاي ورودي يا خروجي
    mode :یکی از موارد (in،out ،buffer ، Inout )
    فهرست مطالب
    تاريخچه    1
    ویژگی ها    2
    نیازمندی ها    2
    توصیف رفتاری :    3
    توصیف ساختاری :    3
    مدل رفتاري  (Behavioral model)    6
    هم روندي    7
    زمانبندي رخداد ها    7
    توصيف رفتاري مدار Half Adder    8
    طراحي سلسله مراتبي    8
    جمع كننده چهار بيتي    8
    شناسه ها    10
    قوانين نامگذاری شناسه های اصلی    10
    قوانين گسترش یافته تعریف شناسه های    11
    اشياء داده ای Data objects    13
    تعریف ثابت    13
    متغير(Variable)    14
    سيگنال (Signal)    14
    تفاوت متغير و سيگنال    15
    انواع عملگرها    19
    طراحی مدارات ترتیبی و ماشين حالت    20



    برچسب ها: دانلود مقاله زبان توصیف سخت افزار WHDL خرید تحقیق زبان توصیف سخت افزار WHDL معرفی زبانهای توصیف سخت افزار دانلود زبان توصيف سخت افزار VHDL برنامه نویسی توصیفی سخت افزار با استفاده از زبان VHDL
  

به ما اعتماد کنید

تمامي كالاها و خدمات اين فروشگاه، حسب مورد داراي مجوزهاي لازم از مراجع مربوطه مي‌باشند و فعاليت‌هاي اين سايت تابع قوانين و مقررات جمهوري اسلامي ايران است.
این سایت در ستاد سازماندهی ثبت شده است.

درباره ما

فروش اینترنتی فایل های قابل دانلود، پروژه، مقاله، و....
در صورتی که نیاز به راهنمایی دارید، صفحه راهنمای سایت را مطالعه فرمایید.

شماره تماس مدیریت شاپ ایرانی 09196136140

کلیه ی محتوای این سایت توسط کاربران درج شده است و تيم مديريت شاپ ایرانی هیچ مسئولیتی نسبت به آنها ندارد.
تمام حقوق این سایت محفوظ است. کپی برداری پیگرد قانونی دارد.
طراحی و پیاده سازی وبتینا